2024-04-13 18:13 ftp://ftp.de.debian.org/debian/pool/main/v/verilator/verilator_5.020.orig.tar.gz cppcheck-options: --library=posix --library=gnu --library=bsd --library=zlib --inconclusive --enable=style,information --inline-suppr --template=daca2 --disable=missingInclude --suppress=unmatchedSuppression --check-library --debug-warnings --suppress=autoNoType --suppress=valueFlowBailout --suppress=bailoutUninitVar --suppress=symbolDatabaseWarning -D__GNUC__ --platform=unix64 -j4 platform: Linux-6.1.0-18-amd64-x86_64-with-glibc2.36 python: 3.11.2 client-version: 1.3.56 compiler: g++ (Debian 12.2.0-14) 12.2.0 cppcheck: head 2.13.0 head-info: fe3bf91 (2024-04-13 15:32:21 +0200) count: 500 439 elapsed-time: 9.5 12.4 head-timing-info: old-timing-info: head results: verilator-5.020/examples/make_hello_sc/sc_main.cpp:35:17: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable SC_NS [valueFlowBailoutIncompleteVar] verilator-5.020/examples/make_tracing_sc/sc_main.cpp:59:29: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable SC_NS [valueFlowBailoutIncompleteVar] verilator-5.020/examples/make_tracing_c/sim_main.cpp:108:24: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRId64 [valueFlowBailoutIncompleteVar] verilator-5.020/include/verilatedos.h:571:0: error: #error "Missing VL_CPU_RELAX() definition." [preprocessorErrorDirective] verilator-5.020/include/gtkwave/fastlz.c:423:13: style: Variable 'op_limit' can be declared as pointer to const [constVariablePointer] verilator-5.020/src/V3Global.h:23:0: error: #error "Something failed during ./configure as config_package.h is incomplete. Perhaps you used autoreconf, don't." [preprocessorErrorDirective] verilator-5.020/include/gtkwave/lz4.c:197:19: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:197:19: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:197:19: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:257:23: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:257:23: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:257:23: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:286:23: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:286:23: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:286:23: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:332:19: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:332:19: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:332:19: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:404:19: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:404:19: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:404:19: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:672:98: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:672:98: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:672:98: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:679:113: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:679:113: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:679:113: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:719:108: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:719:108: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:719:108: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:912:104: style: Condition 'LZ4_64bits()' is always true [knownConditionTrueFalse] verilator-5.020/include/gtkwave/lz4.c:912:104: note: Calling function 'LZ4_64bits' returns 1 verilator-5.020/include/gtkwave/lz4.c:912:104: note: Condition 'LZ4_64bits()' is always true verilator-5.020/include/gtkwave/lz4.c:377:27: style:inconclusive: Function 'LZ4_compressBound' argument 1 names different: declaration 'inputSize' definition 'isize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:120:27: note: Function 'LZ4_compressBound' argument 1 names different: declaration 'inputSize' definition 'isize'. verilator-5.020/include/gtkwave/lz4.c:377:27: note: Function 'LZ4_compressBound' argument 1 names different: declaration 'inputSize' definition 'isize'. verilator-5.020/include/gtkwave/lz4.c:662:96: style:inconclusive: Function 'LZ4_compress_fast_extState' argument 5 names different: declaration 'maxDestSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:141:97: note: Function 'LZ4_compress_fast_extState' argument 5 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:662:96: note: Function 'LZ4_compress_fast_extState' argument 5 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:684:59: style:inconclusive: Function 'LZ4_compress_fast' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:130:60: note: Function 'LZ4_compress_fast' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:684:59: note: Function 'LZ4_compress_fast' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:684:74: style:inconclusive: Function 'LZ4_compress_fast' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:130:76: note: Function 'LZ4_compress_fast' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:684:74: note: Function 'LZ4_compress_fast' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:702:62: style:inconclusive: Function 'LZ4_compress_default' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:75:62: note: Function 'LZ4_compress_default' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:702:62: note: Function 'LZ4_compress_default' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:702:77: style:inconclusive: Function 'LZ4_compress_default' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:75:78: note: Function 'LZ4_compress_default' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:702:77: note: Function 'LZ4_compress_default' argument 4 names different: declaration 'maxDestSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:917:39: style:inconclusive: Function 'LZ4_compress_destSize' argument 1 names different: declaration 'source' definition 'src'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:155:40: note: Function 'LZ4_compress_destSize' argument 1 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:917:39: note: Function 'LZ4_compress_destSize' argument 1 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:917:50: style:inconclusive: Function 'LZ4_compress_destSize' argument 2 names different: declaration 'dest' definition 'dst'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:155:54: note: Function 'LZ4_compress_destSize' argument 2 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:917:50: note: Function 'LZ4_compress_destSize' argument 2 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:917:60: style:inconclusive: Function 'LZ4_compress_destSize' argument 3 names different: declaration 'sourceSizePtr' definition 'srcSizePtr'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:155:65: note: Function 'LZ4_compress_destSize' argument 3 names different: declaration 'sourceSizePtr' definition 'srcSizePtr'. verilator-5.020/include/gtkwave/lz4.c:917:60: note: Function 'LZ4_compress_destSize' argument 3 names different: declaration 'sourceSizePtr' definition 'srcSizePtr'. verilator-5.020/include/gtkwave/lz4.c:917:76: style:inconclusive: Function 'LZ4_compress_destSize' argument 4 names different: declaration 'targetDestSize' definition 'targetDstSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:155:84: note: Function 'LZ4_compress_destSize' argument 4 names different: declaration 'targetDestSize' definition 'targetDstSize'. verilator-5.020/include/gtkwave/lz4.c:917:76: note: Function 'LZ4_compress_destSize' argument 4 names different: declaration 'targetDestSize' definition 'targetDstSize'. verilator-5.020/include/gtkwave/lz4.c:948:37: style:inconclusive: Function 'LZ4_resetStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:204:37: note: Function 'LZ4_resetStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:948:37: note: Function 'LZ4_resetStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:953:35: style:inconclusive: Function 'LZ4_freeStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:213:45: note: Function 'LZ4_freeStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:953:35: note: Function 'LZ4_freeStream' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:961:33: style:inconclusive: Function 'LZ4_loadDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:222:33: note: Function 'LZ4_loadDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. verilator-5.020/include/gtkwave/lz4.c:961:33: note: Function 'LZ4_loadDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. verilator-5.020/include/gtkwave/lz4.c:1016:47: style:inconclusive: Function 'LZ4_compress_fast_continue' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:232:47: note: Function 'LZ4_compress_fast_continue' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1016:47: note: Function 'LZ4_compress_fast_continue' argument 1 names different: declaration 'streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1016:71: style:inconclusive: Function 'LZ4_compress_fast_continue' argument 2 names different: declaration 'src' definition 'source'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:232:70: note: Function 'LZ4_compress_fast_continue' argument 2 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1016:71: note: Function 'LZ4_compress_fast_continue' argument 2 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1016:85: style:inconclusive: Function 'LZ4_compress_fast_continue' argument 3 names different: declaration 'dst' definition 'dest'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:232:81: note: Function 'LZ4_compress_fast_continue' argument 3 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:1016:85: note: Function 'LZ4_compress_fast_continue' argument 3 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:1016:95: style:inconclusive: Function 'LZ4_compress_fast_continue' argument 4 names different: declaration 'srcSize' definition 'inputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:232:90: note: Function 'LZ4_compress_fast_continue' argument 4 names different: declaration 'srcSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1016:95: note: Function 'LZ4_compress_fast_continue' argument 4 names different: declaration 'srcSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1016:110: style:inconclusive: Function 'LZ4_compress_fast_continue' argument 5 names different: declaration 'maxDstSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:232:103: note: Function 'LZ4_compress_fast_continue' argument 5 names different: declaration 'maxDstSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1016:110: note: Function 'LZ4_compress_fast_continue' argument 5 names different: declaration 'maxDstSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1088:33: style:inconclusive: Function 'LZ4_saveDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:242:33: note: Function 'LZ4_saveDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. verilator-5.020/include/gtkwave/lz4.c:1088:33: note: Function 'LZ4_saveDict' argument 1 names different: declaration 'streamPtr' definition 'LZ4_dict'. verilator-5.020/include/gtkwave/lz4.c:1360:129: style:inconclusive: Function 'LZ4_decompress_safe_continue' argument 5 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:290:129: note: Function 'LZ4_decompress_safe_continue' argument 5 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1360:129: note: Function 'LZ4_decompress_safe_continue' argument 5 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1439:91: style:inconclusive: Function 'LZ4_decompress_safe_usingDict' argument 4 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:301:92: note: Function 'LZ4_decompress_safe_usingDict' argument 4 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1439:91: note: Function 'LZ4_decompress_safe_usingDict' argument 4 names different: declaration 'maxDecompressedSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1460:68: style:inconclusive: Function 'LZ4_compress_limitedOutput' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:333:69: note: Function 'LZ4_compress_limitedOutput' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1460:68: note: Function 'LZ4_compress_limitedOutput' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1461:54: style:inconclusive: Function 'LZ4_compress' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:332:69: note: Function 'LZ4_compress' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1461:54: note: Function 'LZ4_compress' argument 3 names different: declaration 'sourceSize' definition 'inputSize'. verilator-5.020/include/gtkwave/lz4.c:1462:68: style:inconclusive: Function 'LZ4_compress_limitedOutput_withState' argument 2 names different: declaration 'source' definition 'src'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:335:68: note: Function 'LZ4_compress_limitedOutput_withState' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1462:68: note: Function 'LZ4_compress_limitedOutput_withState' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1462:79: style:inconclusive: Function 'LZ4_compress_limitedOutput_withState' argument 3 names different: declaration 'dest' definition 'dst'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:335:82: note: Function 'LZ4_compress_limitedOutput_withState' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1462:79: note: Function 'LZ4_compress_limitedOutput_withState' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1462:88: style:inconclusive: Function 'LZ4_compress_limitedOutput_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:335:92: note: Function 'LZ4_compress_limitedOutput_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1462:88: note: Function 'LZ4_compress_limitedOutput_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1462:101: style:inconclusive: Function 'LZ4_compress_limitedOutput_withState' argument 5 names different: declaration 'maxOutputSize' definition 'dstSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:335:107: note: Function 'LZ4_compress_limitedOutput_withState' argument 5 names different: declaration 'maxOutputSize' definition 'dstSize'. verilator-5.020/include/gtkwave/lz4.c:1462:101: note: Function 'LZ4_compress_limitedOutput_withState' argument 5 names different: declaration 'maxOutputSize' definition 'dstSize'. verilator-5.020/include/gtkwave/lz4.c:1463:54: style:inconclusive: Function 'LZ4_compress_withState' argument 2 names different: declaration 'source' definition 'src'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:334:68: note: Function 'LZ4_compress_withState' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1463:54: note: Function 'LZ4_compress_withState' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1463:65: style:inconclusive: Function 'LZ4_compress_withState' argument 3 names different: declaration 'dest' definition 'dst'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:334:82: note: Function 'LZ4_compress_withState' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1463:65: note: Function 'LZ4_compress_withState' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1463:74: style:inconclusive: Function 'LZ4_compress_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:334:92: note: Function 'LZ4_compress_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1463:74: note: Function 'LZ4_compress_withState' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1464:56: style:inconclusive: Function 'LZ4_compress_limitedOutput_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:337:57: note: Function 'LZ4_compress_limitedOutput_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1464:56: note: Function 'LZ4_compress_limitedOutput_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1464:80: style:inconclusive: Function 'LZ4_compress_limitedOutput_continue' argument 2 names different: declaration 'source' definition 'src'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:337:84: note: Function 'LZ4_compress_limitedOutput_continue' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1464:80: note: Function 'LZ4_compress_limitedOutput_continue' argument 2 names different: declaration 'source' definition 'src'. verilator-5.020/include/gtkwave/lz4.c:1464:91: style:inconclusive: Function 'LZ4_compress_limitedOutput_continue' argument 3 names different: declaration 'dest' definition 'dst'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:337:98: note: Function 'LZ4_compress_limitedOutput_continue' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1464:91: note: Function 'LZ4_compress_limitedOutput_continue' argument 3 names different: declaration 'dest' definition 'dst'. verilator-5.020/include/gtkwave/lz4.c:1464:100: style:inconclusive: Function 'LZ4_compress_limitedOutput_continue' argument 4 names different: declaration 'inputSize' definition 'srcSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:337:108: note: Function 'LZ4_compress_limitedOutput_continue' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1464:100: note: Function 'LZ4_compress_limitedOutput_continue' argument 4 names different: declaration 'inputSize' definition 'srcSize'. verilator-5.020/include/gtkwave/lz4.c:1464:113: style:inconclusive: Function 'LZ4_compress_limitedOutput_continue' argument 5 names different: declaration 'maxOutputSize' definition 'maxDstSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:337:123: note: Function 'LZ4_compress_limitedOutput_continue' argument 5 names different: declaration 'maxOutputSize' definition 'maxDstSize'. verilator-5.020/include/gtkwave/lz4.c:1464:113: note: Function 'LZ4_compress_limitedOutput_continue' argument 5 names different: declaration 'maxOutputSize' definition 'maxDstSize'. verilator-5.020/include/gtkwave/lz4.c:1465:42: style:inconclusive: Function 'LZ4_compress_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:336:57: note: Function 'LZ4_compress_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1465:42: note: Function 'LZ4_compress_continue' argument 1 names different: declaration 'LZ4_streamPtr' definition 'LZ4_stream'. verilator-5.020/include/gtkwave/lz4.c:1501:35: style:inconclusive: Function 'LZ4_slideInputBuffer' argument 1 names different: declaration 'state' definition 'LZ4_Data'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:353:84: note: Function 'LZ4_slideInputBuffer' argument 1 names different: declaration 'state' definition 'LZ4_Data'. verilator-5.020/include/gtkwave/lz4.c:1501:35: note: Function 'LZ4_slideInputBuffer' argument 1 names different: declaration 'state' definition 'LZ4_Data'. verilator-5.020/include/gtkwave/lz4.c:1510:51: style:inconclusive: Function 'LZ4_decompress_safe_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:356:114: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1510:51: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1510:65: style:inconclusive: Function 'LZ4_decompress_safe_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:356:125: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:1510:65: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:1510:95: style:inconclusive: Function 'LZ4_decompress_safe_withPrefix64k' argument 4 names different: declaration 'maxDstSize' definition 'maxOutputSize'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:356:154: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 4 names different: declaration 'maxDstSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1510:95: note: Function 'LZ4_decompress_safe_withPrefix64k' argument 4 names different: declaration 'maxDstSize' definition 'maxOutputSize'. verilator-5.020/include/gtkwave/lz4.c:1515:51: style:inconclusive: Function 'LZ4_decompress_fast_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:357:114: note: Function 'LZ4_decompress_fast_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1515:51: note: Function 'LZ4_decompress_fast_withPrefix64k' argument 1 names different: declaration 'src' definition 'source'. verilator-5.020/include/gtkwave/lz4.c:1515:65: style:inconclusive: Function 'LZ4_decompress_fast_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/lz4.h:357:125: note: Function 'LZ4_decompress_fast_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:1515:65: note: Function 'LZ4_decompress_fast_withPrefix64k' argument 2 names different: declaration 'dst' definition 'dest'. verilator-5.020/include/gtkwave/lz4.c:213:11: style: Variable 'e' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:430:36: style: Variable 'hashTable' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:431:12: style: Variable 'hashTable' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:452:34: style: Variable 'dictPtr' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:467:17: style: Variable 'olimit' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:744:17: style: Variable 'oend' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:745:17: style: Variable 'oMaxLit' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:746:17: style: Variable 'oMaxMatch' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:747:17: style: Variable 'oMaxSeq' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:1238:33: style: Variable 'endOfMatch' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/lz4.c:142:23: style: union member 'Anonymous0::i' is never used. [unusedStructMember] verilator-5.020/include/gtkwave/fstapi.c:990:10: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:1029:2: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable errno [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:1724:1: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable errno [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:1978:2: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable errno [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:2559:25: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:2825:52: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRIu32 [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:2946:49: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:3072:41: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:3121:41: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:3181:41: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable stderr [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:4309:55: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRId64 [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:4673:31: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable EOF [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:5070:24: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable EOF [valueFlowBailoutIncompleteVar] verilator-5.020/include/gtkwave/fstapi.c:6088:24: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable EOF [valueFlowBailoutIncompleteVar] verilator-5.020/src/V3Hash.cpp:28:30: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable hex [valueFlowBailoutIncompleteVar] verilator-5.020/src/V3ThreadPool.h:244:45: error: There is an unknown macro here somewhere. Configuration is required. If VL_EXCLUDES is a macro then please configure it. [unknownMacro] verilator-5.020/src/VlcOptions.h:60:47: error: There is an unknown macro here somewhere. Configuration is required. If VL_CLANG_ATTR is a macro then please configure it. [unknownMacro] verilator-5.020/src/VlcMain.cpp:22:0: error: #error "Something failed during ./configure as config_package.h is incomplete. Perhaps you used autoreconf, don't." [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_const_bitoptree_bug3096.cpp:22:10: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_cover_lib_c.cpp:88:0: error: #error [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dfg_peephole.cpp:59:10: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_dpi_accessors.cpp:670:10: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_dpi_arg_inout_type.cpp:48:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:259:17: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable m_guardme [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_dpi_arg_inout_unpack.cpp:56:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_arg_input_type.cpp:53:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_arg_input_unpack.cpp:60:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_arg_output_type.cpp:48:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_arg_output_unpack.cpp:56:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_context_c.cpp:30:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_display_c.cpp:26:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_export_c.cpp:32:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_export_context_bad.cpp:22:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_imp_gen_c.cpp:27:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_import_c.cpp:27:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_lib_c.cpp:31:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_open_c.cpp:33:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_open_elem_c.cpp:33:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_open_oob_bad_c.cpp:27:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_open_query.cpp:24:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_open_vecval_c.cpp:33:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_openfirst_c.cpp:31:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_result_type.cpp:43:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:371:10: style: Unused private function: 'TestClassConstructor::safe_function_unsafe_constructor_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:374:10: style: Unused private function: 'TestClassConstructor::safe_function_static_constructor_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:377:10: style: Unused private function: 'TestClassConstructor::safe_function_local_function_global_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:380:10: style: Unused private function: 'TestClassConstructor::safe_function_local_function_constructor_good' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:383:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_with_pointer_good' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:387:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_with_reference_good' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:391:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_with_unsafepointer_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:395:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_with_unsafereference_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:399:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_local_calls_global_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:402:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_local_calls_class_global_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:405:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_global_object_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:408:10: style: Unused private function: 'TestClassConstructor::safe_function_calls_constructor_global_object_member_bad' [unusedPrivateFunction] verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:29:10: performance:inconclusive: Technically the member function 'UnannotatedMtDisabledClass::unannotatedMtDisabledMethodBad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.cpp:23:34: note: Technically the member function 'UnannotatedMtDisabledClass::unannotatedMtDisabledMethodBad' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:29:10: note: Technically the member function 'UnannotatedMtDisabledClass::unannotatedMtDisabledMethodBad' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:32:9: performance:inconclusive: Technically the member function 'UnannotatedMtDisabledClass::unannotatedInlineMethodOK' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:43:10: performance:inconclusive: Technically the member function 'AnnotatedMtDisabledClass::annotatedMtDisabledMethodOK' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.cpp:38:32: note: Technically the member function 'AnnotatedMtDisabledClass::annotatedMtDisabledMethodOK' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:43:10: note: Technically the member function 'AnnotatedMtDisabledClass::annotatedMtDisabledMethodOK' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_disabled.h:46:9: performance:inconclusive: Technically the member function 'AnnotatedMtDisabledClass::annotatedInlineMethodOK' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:104:10: performance:inconclusive: Technically the member function 'GuardMe::safe_if_guarded_or_local' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:212:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_hdr_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:235:5: performance:inconclusive: Technically the member function 'TestClass::icm_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:238:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_hdr_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:285:10: performance:inconclusive: Technically the member function 'ConstructorCallsUnsafeLocalFunction::unsafe_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:295:10: performance:inconclusive: Technically the member function 'ConstructorCallsLocalFunction::local_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:301:10: performance:inconclusive: Technically the member function 'ConstructorCallsLocalFunctionCallsGlobal::local_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:307:10: performance:inconclusive: Technically the member function 'SafeFunction::safe_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:311:10: performance:inconclusive: Technically the member function 'UnsafeFunction::unsafe_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:334:10: performance:inconclusive: Technically the member function 'ConstructorCallsLocalCallsGlobal::local_function2' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:342:10: performance:inconclusive: Technically the member function 'ConstructorCallsLocalCallsClassGlobal::local_function2' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:350:10: performance:inconclusive: Technically the member function 'DummyClass2::dummy_function2' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:355:10: performance:inconclusive: Technically the member function 'DummyClass::dummy_function' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:371:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_unsafe_constructor_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:374:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_static_constructor_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:377:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_local_function_global_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:380:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_local_function_constructor_good' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:383:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_with_pointer_good' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:387:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_with_reference_good' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:391:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_with_unsafepointer_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:395:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_with_unsafereference_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:399:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_local_calls_global_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:402:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_local_calls_class_global_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:405:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_global_object_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:408:10: performance:inconclusive: Technically the member function 'TestClassConstructor::safe_function_calls_constructor_global_object_member_bad' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:316:5: style: Class 'ConstructorWithPointer' has a constructor with 1 argument that is not explicit. [noExplicitConstructor] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:321:5: style: Class 'ConstructorWithReference' has a constructor with 1 argument that is not explicit. [noExplicitConstructor] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:325:5: style: Class 'ConstructorWithUnsafePointer' has a constructor with 1 argument that is not explicit. [noExplicitConstructor] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:330:5: style: Class 'ConstructorWithUnsafeReference' has a constructor with 1 argument that is not explicit. [noExplicitConstructor] verilator-5.020/test_regress/t/t_dpi_shortcircuit_c.cpp:33:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_string_c.cpp:27:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_sys_c.cpp:26:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_threads_c.cpp:33:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_vams.cpp:23:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dpi_var.cpp:80:34: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable VLVT_UINT32 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_dpi_var.cpp:34:28: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_dpi_var.cpp:83:27: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_dpi_var.cpp:85:32: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:386:5: error: Memory leak: i [memleak] verilator-5.020/test_regress/t/t_embed1_c.cpp:27:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:394:5: error: Memory leak: i [memleak] verilator-5.020/test_regress/t/t_enum_public.cpp:24:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable ZERO [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_flag_ldflags_c.cpp:22:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_flag_lib_dpi.cpp:14:34: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_flag_lib_dpi_main.cpp:36:9: error: There is an unknown macro here somewhere. Configuration is required. If VL_DEBUG_IF is a macro then please configure it. [unknownMacro] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:254:15: style: Variable 'a' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:259:15: style: Variable 'b' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:265:15: style: Variable 'c' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:266:23: style: Variable 'guardme_local' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:271:15: style: Variable 'a' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:372:46: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:375:53: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:378:51: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:381:40: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:385:33: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:389:35: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:393:39: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:397:41: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:400:43: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:403:48: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:406:39: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:409:45: style: Variable 'f' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_func_wide_out_c.cpp:31:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_gantt_two.cpp:20:23: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_USE_THREADS [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_hier_block_cmake/main.cpp:18:23: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_THREADS [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_leak.cpp:37:49: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRIu64 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_leak.cpp:90:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRId64 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_leak.cpp:89:16: style: Redundant initialization for 'firstUsage'. The initialized value is overwritten before it is read. [redundantInitialization] verilator-5.020/test_regress/t/t_leak.cpp:83:25: note: firstUsage is initialized verilator-5.020/test_regress/t/t_leak.cpp:89:16: note: firstUsage is overwritten verilator-5.020/test_regress/t/t_leak.cpp:82:26: style: Parameter 'argv' can be declared as const array [constParameter] verilator-5.020/test_regress/t/t_math_imm2.cpp:41:55: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRIx64 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_math_pow7.cpp:35:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: performance:inconclusive: Technically the member function 'TestClass::cm_au_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:133:1: note: Technically the member function 'TestClass::cm_au_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:191:5: note: Technically the member function 'TestClass::cm_au_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_REQUIRES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_RELEASE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_ACQUIRE_SHARED' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_RELEASE_SHARED' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_EXCLUDES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: performance:inconclusive: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:137:1: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:195:5: note: Technically the member function 'TestClass::cm_ua_VL_MT_SAFE_EXCLUDES' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: performance:inconclusive: Technically the member function 'TestClass::cm_aa_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:141:1: note: Technically the member function 'TestClass::cm_aa_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:199:5: note: Technically the member function 'TestClass::cm_aa_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: performance:inconclusive: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:155:1: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:232:5: note: Technically the member function 'TestClass::cm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_PURE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_SAFE_POSTINIT' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_UNSAFE_ONE' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: performance:inconclusive: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). [functionStatic] verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.cpp:175:1: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_dist_attributes/mt_enabled.h:250:5: note: Technically the member function 'TestClass::icm_test_caller_smethod_VL_MT_START' can be static (but you may consider moving to unnamed namespace). verilator-5.020/test_regress/t/t_multitop_sig.cpp:33:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_no_trace_top.cpp:28:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_order_multidriven.cpp:52:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_order_quad.cpp:24:38: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRIx64 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_protect_ids_c.cpp:31:0: error: #error "Unknown simulator for DPI test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_param_public.cpp:24:45: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TOP_PARAM [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_savable_open_bad2.cpp:33:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_time_vpi_c.cpp:35:29: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/TestVpi.h:27:5: style: Class 'TestVpiHandle' has a constructor with 1 argument that is not explicit. [noExplicitConstructor] verilator-5.020/test_regress/t/t_time_vpi_c.cpp:60:44: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_scope_map.cpp:30:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_cat.cpp:55:0: error: #error "Unknown test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_trace_cat_fst.cpp:21:42: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_scope_map.cpp:45:30: style: Variable 'varNameMap' can be declared as pointer to const [constVariablePointer] verilator-5.020/test_regress/t/t_scope_map.cpp:120:30: style: Variable 'varNameMap' can be declared as pointer to const [constVariablePointer] verilator-5.020/test_regress/t/t_trace_dumpvars_dyn.cpp:39:0: error: #error "Bad test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_trace_noflag_bad_c.cpp:20:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_open_wrong_order_bad.cpp:19:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_public_func.cpp:39:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_public_sig.cpp:37:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_rollover.cpp:30:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_trace_two_sc.cpp:36:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable SC_NS [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_tri_gate.cpp:22:13: style: Condition 'verbose' is always false [knownConditionTrueFalse] verilator-5.020/test_regress/t/t_tri_gate.cpp:17:20: note: Assignment 'verbose=false', assigned value is 0 verilator-5.020/test_regress/t/t_tri_gate.cpp:22:13: note: Condition 'verbose' is always false verilator-5.020/test_regress/t/t_tri_inz.cpp:30:9: style: The if condition is the same as the previous if condition [duplicateCondition] verilator-5.020/test_regress/t/t_tri_inz.cpp:29:9: note: First condition verilator-5.020/test_regress/t/t_tri_inz.cpp:30:9: note: Second condition verilator-5.020/test_regress/t/t_tri_pullup.cpp:33:13: style: Condition 'verbose' is always false [knownConditionTrueFalse] verilator-5.020/test_regress/t/t_tri_pullup.cpp:29:20: note: Assignment 'verbose=false', assigned value is 0 verilator-5.020/test_regress/t/t_tri_pullup.cpp:33:13: note: Condition 'verbose' is always false verilator-5.020/test_regress/t/t_var_sc_bv.cpp:128:23: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable i_29_s [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:58:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:91:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:119:24: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbReadWriteSynch [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:159:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:69:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:102:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:117:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:128:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:73:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:132:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_const_type.cpp:76:50: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_const_type.cpp:81:51: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_const_type.cpp:86:50: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_const_type.cpp:91:50: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_const_type.cpp:101:51: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_finish_c.cpp:26:32: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiFinish [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_escape.cpp:68:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_escape.cpp:130:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_escape.cpp:192:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_get.cpp:84:20: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiIntVal [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_get.cpp:157:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiNoDirection [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_get.cpp:179:27: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_module.cpp:72:38: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_memory.cpp:51:20: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiIntVal [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_memory.cpp:86:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_module_empty.cpp:114:43: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_module_empty.cpp:95:23: style: Variable 'sim_time' is assigned a value that is never used. [unreadVariable] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:94:20: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbReadOnlySynch [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:106:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:130:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:176:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:229:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:254:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAtEndOfSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:298:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbStartOfSimulation [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_memory.cpp:84:16: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_memory.cpp:85:46: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_memory.cpp:147:20: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:316:23: style: Condition 'next_time!=-1' is always true [knownConditionTrueFalse] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:319:23: style: Condition 'next_time==-1' is always false [knownConditionTrueFalse] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:101:52: style: Parameter 'data' can be declared as pointer to const. However it seems that 'AtEndOfSimTimeCallback' is a callback function, if 'data' is declared with const you might also need to cast function pointer(s). [constParameterCallback] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:153:26: note: You might need to cast the function pointer here verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:101:52: note: Parameter 'data' can be declared as pointer to const verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:125:41: style: Parameter 'data' can be declared as pointer to const. However it seems that 'TheCallback' is a callback function, if 'data' is declared with const you might also need to cast function pointer(s). [constParameterCallback] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:191:22: note: You might need to cast the function pointer here verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:125:41: note: Parameter 'data' can be declared as pointer to const verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:174:55: style: Parameter 'data' can be declared as pointer to const. However it seems that 'StartOfSimulationCallback' is a callback function, if 'data' is declared with const you might also need to cast function pointer(s). [constParameterCallback] verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:265:22: note: You might need to cast the function pointer here verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:174:55: note: Parameter 'data' can be declared as pointer to const verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:285:10: style: Unused variable: cbs_called [unusedVariable] verilator-5.020/test_regress/t/t_vpi_param.cpp:32:0: error: #error "Bad test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_vpi_package.cpp:77:23: style: Local variable 'handle' shadows outer argument [shadowArgument] verilator-5.020/test_regress/t/t_vpi_package.cpp:71:33: note: Shadowed declaration verilator-5.020/test_regress/t/t_vpi_package.cpp:77:23: note: Shadow variable verilator-5.020/test_regress/t/t_vpi_public_depth.cpp:30:0: error: #error "Bad test" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_vpi_release_dup_bad_c.cpp:25:30: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_stop_bad_c.cpp:26:32: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiStop [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb.cpp:50:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbStartOfSimulation [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:123:15: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbValueChange [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:172:29: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAtEndOfSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:191:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:282:22: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAfterDelay [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:348:46: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRId64 [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:34:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:40:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:77:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:105:25: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAfterDelay [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:127:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_unimpl.cpp:92:26: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbPLIError [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:48:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:84:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:101:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:102:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:112:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:182:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:232:9: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:277:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:245:12: warning: Identical condition and return expression 'ret', return value is always 0 [identicalConditionAfterEarlyExit] verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:217:9: note: If condition 'ret' is true, the function will return/exit verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:245:12: note: Returning identical expression 'ret' verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:120:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_unimpl.cpp:90:36: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_unimpl.cpp:89:26: style: Local variable 'cb_data' shadows outer argument [shadowArgument] verilator-5.020/test_regress/t/t_vpi_unimpl.cpp:77:33: note: Shadowed declaration verilator-5.020/test_regress/t/t_vpi_unimpl.cpp:89:26: note: Shadow variable verilator-5.020/test_regress/t/t_vpi_zero_time_cb.cpp:137:46: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable TEST_OBJ_DIR [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_zero_time_cb.cpp:144:10: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_wrapper_context.cpp:22:0: error: #error "VERILATOR_VERSION_INTEGER not set" [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_x_assign.cpp:29:0: error: #error "Don't know expectd output for test" #TEST [preprocessorErrorDirective] verilator-5.020/test_regress/t/t_wrapper_legacy.cpp:39:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:125:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:153:22: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbEndOfSimulation [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:173:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:182:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:190:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable aval [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:201:16: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiIntVal [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:265:21: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:415:21: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:452:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:522:33: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiFullName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:578:33: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiFullName [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:588:38: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiReg [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:631:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:691:25: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:727:18: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:846:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:958:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] verilator-5.020/test_regress/t/t_vpi_var.cpp:628:5: style: Obsolescent function 'bzero' called. It is recommended to use 'memset' instead. [prohibitedbzeroCalled] verilator-5.020/test_regress/t/t_vpi_var.cpp:741:37: style: Obsolescent function 'rand_r' called. It is recommended to use 'rand' instead. [prohibitedrand_rCalled] verilator-5.020/test_regress/t/t_vpi_var.cpp:781:39: style: Obsolescent function 'rand_r' called. It is recommended to use 'rand' instead. [prohibitedrand_rCalled] verilator-5.020/test_regress/t/t_vpi_var.cpp:788:56: style: Obsolescent function 'rand_r' called. It is recommended to use 'rand' instead. [prohibitedrand_rCalled] verilator-5.020/test_regress/t/t_vpi_var.cpp:114:27: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:124:34: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:127:32: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:147:16: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:208:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:221:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:231:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:242:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:257:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:260:44: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:272:44: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:311:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:362:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:384:31: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:397:31: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:413:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:451:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:489:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:520:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:545:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:558:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:574:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:586:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:623:25: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:687:29: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:702:23: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:771:31: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:810:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:811:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:812:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:813:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:815:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:822:13: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:827:33: style: C-style pointer casting [cstyleCast] verilator-5.020/test_regress/t/t_vpi_var.cpp:825:26: style: Local variable 'cb_data' shadows outer argument [shadowArgument] verilator-5.020/test_regress/t/t_vpi_var.cpp:709:37: note: Shadowed declaration verilator-5.020/test_regress/t/t_vpi_var.cpp:825:26: note: Shadow variable verilator-5.020/test_regress/t/t_vpi_var.cpp:739:38: style: Variable 'vals' can be declared as const array [constVariable] verilator-5.020/include/gtkwave/fstapi.c:1002:2: warning: Assignment of function parameter has no effect outside the function. Did you forget dereferencing it? [uselessAssignmentPtrArg] verilator-5.020/include/gtkwave/fstapi.c:2078:41: warning: Return value of function gzwrite() is not used. [ignoredReturnValue] verilator-5.020/include/gtkwave/fstapi.c:2205:49: warning: Return value of function gzwrite() is not used. [ignoredReturnValue] verilator-5.020/include/gtkwave/fstapi.c:962:14: style: Obsolete function 'asctime' called. It is recommended to use 'strftime' instead. [prohibitedasctimeCalled] verilator-5.020/include/gtkwave/fstapi.c:962:22: portability: Non reentrant function 'localtime' called. For threadsafe applications it is recommended to use the reentrant replacement function 'localtime_r'. [prohibitedlocaltimeCalled] verilator-5.020/include/gtkwave/fstapi.c:4872:41: style: The statement 'if (xc->vc_section_count!=vc_section_count_actual) xc->vc_section_count=vc_section_count_actual' is logically equivalent to 'xc->vc_section_count=vc_section_count_actual'. [duplicateConditionalAssign] verilator-5.020/include/gtkwave/fstapi.c:4874:46: note: Assignment 'xc->vc_section_count=vc_section_count_actual' verilator-5.020/include/gtkwave/fstapi.c:4872:41: note: Condition 'xc->vc_section_count!=vc_section_count_actual' is redundant verilator-5.020/include/gtkwave/fstapi.c:1653:78: style: Operator '|' with one operand equal to zero is redundant. [badBitmaskCheck] verilator-5.020/include/gtkwave/fstapi.c:2409:45: style: Condition 'rp' is always false [knownConditionTrueFalse] verilator-5.020/include/gtkwave/fstapi.c:2395:28: note: Assignment 'rp=NULL', assigned value is 0 verilator-5.020/include/gtkwave/fstapi.c:2409:45: note: Condition 'rp' is always false verilator-5.020/include/gtkwave/fstapi.c:2415:20: style: Condition 'rp' is always false [knownConditionTrueFalse] verilator-5.020/include/gtkwave/fstapi.c:2395:28: note: Assignment 'rp=NULL', assigned value is 0 verilator-5.020/include/gtkwave/fstapi.c:2415:20: note: Condition 'rp' is always false verilator-5.020/include/gtkwave/fstapi.c:3450:57: warning: Possible null pointer dereference: s [nullPointer] verilator-5.020/include/gtkwave/fstapi.c:5974:23: note: Calling function 'fstWritex', 2nd argument 'NULL' value is 0 verilator-5.020/include/gtkwave/fstapi.c:3439:20: note: Assignment 's=(unsigned char*)v', assigned value is 0 verilator-5.020/include/gtkwave/fstapi.c:3450:57: note: Null pointer dereference verilator-5.020/include/gtkwave/fstapi.c:4710:71: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5315:91: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5325:83: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5351:83: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5867:75: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5877:67: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:5902:67: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:6006:42: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:6577:50: portability:inconclusive: Casting from double * to unsigned char * is not portable due to different binary data representations on different platforms. [invalidPointerCast] verilator-5.020/include/gtkwave/fstapi.c:4273:43: style:inconclusive: Function 'fstReaderProcessHier' argument 2 names different: declaration 'vcdhandle' definition 'fv'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/fstapi.h:442:55: note: Function 'fstReaderProcessHier' argument 2 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:4273:43: note: Function 'fstReaderProcessHier' argument 2 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:5001:49: style:inconclusive: Function 'fstReaderIterBlocks' argument 4 names different: declaration 'vcdhandle' definition 'fv'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/fstapi.h:433:65: note: Function 'fstReaderIterBlocks' argument 4 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:5001:49: note: Function 'fstReaderIterBlocks' argument 4 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:5010:49: style:inconclusive: Function 'fstReaderIterBlocks2' argument 5 names different: declaration 'vcdhandle' definition 'fv'. [funcArgNamesDifferent] verilator-5.020/include/gtkwave/fstapi.h:437:65: note: Function 'fstReaderIterBlocks2' argument 5 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:5010:49: note: Function 'fstReaderIterBlocks2' argument 5 names different: declaration 'vcdhandle' definition 'fv'. verilator-5.020/include/gtkwave/fstapi.c:373:45: style: Parameter 'mem' can be declared as pointer to const [constParameterPointer] verilator-5.020/include/gtkwave/fstapi.c:423:16: style: Variable 'mem_orig' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:448:16: style: Variable 'mem_orig' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:461:16: style: Variable 'mem_orig' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:528:16: style: Variable 'mem_orig' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:662:16: style: Variable 'mem_orig' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:847:84: style: Parameter 'u' can be declared as pointer to const [constParameterPointer] verilator-5.020/include/gtkwave/fstapi.c:874:93: style: Parameter 'u' can be declared as pointer to const [constParameterPointer] verilator-5.020/include/gtkwave/fstapi.c:982:39: style: Parameter 'pnt' can be declared as pointer to const [constParameterPointer] verilator-5.020/include/gtkwave/fstapi.c:1286:16: style: Variable 'tmem' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:1414:48: style: Variable 'pnt' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:1929:24: style: Variable 'tmem' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:2472:26: style: Variable 'xc' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:2579:26: style: Variable 'xc' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:2591:26: style: Variable 'xc' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:3439:16: style: Variable 's' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:3600:26: style: Variable 'xc' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:3779:26: style: Variable 'xc' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:4710:56: style: Variable 'dcheck_alias' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:6007:32: style: Variable 'srcdata' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:6579:40: style: Variable 'srcdata' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:6928:16: style: Variable 'src' can be declared as pointer to const [constVariablePointer] verilator-5.020/include/gtkwave/fstapi.c:678:21: warning: Shifting signed 64-bit value by 63 bits is undefined behaviour. See condition at line 676. [shiftTooManyBitsSigned] verilator-5.020/include/gtkwave/fstapi.c:676:10: note: Assuming that condition 'shiftOE2' is used in bitwise operation. Did you mean '&&'? [bitwiseOnBoolean] 2.13.0 verilator-5.020/test_regress/t/t_tri_select.cpp:21:27: style:inconclusive: Boolean expression '!tb->OE1' is used in bitwise operation. Did you mean '&&'? [bitwiseOnBoolean] head verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:119:24: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbReadWriteSynch [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:159:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_cb_iter.cpp:91:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_escape.cpp:130:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_escape.cpp:192:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_get.cpp:157:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiNoDirection [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_memory.cpp:86:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:106:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:130:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:176:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:229:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:254:28: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAtEndOfSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_onetime_cbs.cpp:298:27: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbStartOfSimulation [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:172:29: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAtEndOfSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:191:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:282:22: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAfterDelay [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_repetitive_cbs.cpp:348:46: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable PRId64 [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:105:25: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbAfterDelay [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:127:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:40:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_time_cb_c.cpp:77:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:153:22: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cbEndOfSimulation [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:173:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:182:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:190:9: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable aval [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:201:16: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiIntVal [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:265:21: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:415:21: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiName [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:452:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:522:33: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiFullName [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:578:33: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiFullName [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:588:38: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiReg [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:631:14: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:691:25: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:727:18: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable vpiSimTime [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:846:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] head verilator-5.020/test_regress/t/t_vpi_var.cpp:958:5: debug: valueFlowConditionExpressions bailout: Skipping function due to incomplete variable cout [valueFlowBailoutIncompleteVar] DONE